From cae417d315dd27d820e967dbcf5002d8d4529ac2 Mon Sep 17 00:00:00 2001 From: Atemu Date: Tue, 11 Apr 2023 15:44:35 +0200 Subject: quartus-prime: use buildFHSEnvChroot Does not work with bubblewrap for some reason --- pkgs/applications/editors/quartus-prime/default.nix | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'pkgs/applications/editors/quartus-prime') diff --git a/pkgs/applications/editors/quartus-prime/default.nix b/pkgs/applications/editors/quartus-prime/default.nix index d4d269e3fc5c8..a3eee45ed4d04 100644 --- a/pkgs/applications/editors/quartus-prime/default.nix +++ b/pkgs/applications/editors/quartus-prime/default.nix @@ -1,4 +1,4 @@ -{ stdenv, lib, buildFHSEnv, callPackage, makeDesktopItem, writeScript +{ stdenv, lib, buildFHSEnvChroot, callPackage, makeDesktopItem, writeScript , supportedDevices ? [ "Arria II" "Cyclone V" "Cyclone IV" "Cyclone 10 LP" "MAX II/V" "MAX 10 FPGA" ] , unwrapped ? callPackage ./quartus.nix { inherit supportedDevices; } }: @@ -13,7 +13,7 @@ let categories = [ "Development" ]; }; # I think modelsim_ase/linux/vlm checksums itself, so use FHSUserEnv instead of `patchelf` -in buildFHSEnv rec { +in buildFHSEnvChroot rec { name = "quartus-prime-lite"; # wrapped targetPkgs = pkgs: with pkgs; [ -- cgit 1.4.1