about summary refs log tree commit diff
path: root/pkgs/development/compilers/yosys
AgeCommit message (Collapse)AuthorFilesLines
2022-11-08yosys-symbiflow: 2022.09.27 -> 2022.11.07Henner Zeller1-5/+7
Update plugins to be compatible with latest surelog/uhdm (1.45). Also fix expected googletest path so that it comes from the nix environment, not third_party/. Signed-off-by: Henner Zeller <h.zeller@acm.org>
2022-11-04Merge pull request #173225 from matthuszagh/uhdmValentin Gagarin1-14/+10
Add systemverilog plugin to yosys
2022-10-14yosys: 0.20 -> 0.22Luflosi1-3/+12
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.22
2022-10-08yosys-ghdl: 2021.01.25 -> 2022.01.11Doron Behar1-4/+4
2022-10-08yosys-ghdl: Formatting - new lines between inputs and argumentsDoron Behar1-4/+18
2022-09-27symbiflow: update to 2022.09.27Matt Huszagh1-5/+4
2022-08-16treewide: makeWrapper buildInputs to nativeBuildInputsArtturin1-1/+1
most found with https://github.com/siraben/nix-lint
2022-08-12yosys: 0.18 -> 0.20Austin Seipp1-2/+2
Includes necessary `abc` update as well. Signed-off-by: Austin Seipp <aseipp@pobox.com>
2022-06-20yosys: 0.17 -> 0.18Luflosi1-2/+2
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.18
2022-06-20yosys: 0.16 -> 0.17Luflosi1-2/+2
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.17
2022-05-15symbiflow: add systemverilog pluginMatt Huszagh1-12/+9
2022-04-12yosys: 0.15 -> 0.16Luflosi1-2/+2
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.16
2022-03-08yosys: 0.12+54 -> 0.15Luflosi1-3/+3
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.15
2022-03-08yosys: fix homepageLuflosi1-1/+1
The old link was now pointing at a casino website.
2022-01-08yosys: Add yosys-symbiflow-pluginsOllieB5-1/+166
2022-01-06yosys: 0.12+36 -> 0.12.54, with yosys-bluespec updateAustin Seipp2-7/+7
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-12-17yosys: 0.11+52 -> 0.12+36Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-12-02yosys: 0.10+1 -> 0.11+52, with abc updateAustin Seipp1-5/+5
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-11-10yosys: remove pointless patchingMartin Povišer1-13/+0
This fixes the patch introduced in: 94a047ca74cb (yosys: fix build on darwin, 2021-11-09) Because we supply yosys with an external build of ABC, the patched ABCMKARGS variable is without influence. Even if we were building with in-tree ABC, that part of the patch is wrong, so drop it altogether.
2021-11-09yosys: fix build on darwinMartin Povišer2-7/+27
Attempt to work around a confusion in yosys' build system that causes builds under clang to fail.
2021-09-29yosys: 0.9+4276 -> 0.10+1Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-08-19yosys-bluespec: 2021.01.17 -> 2021.08.19Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-08-19yosys: 0.9+4272 -> 0.9+4276Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-08-15yosys: 0.9+4221 -> 0.9+4272Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-07-27yosys: 0.9+4052 -> 0.9+4221Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-03-31yosys: 0.9+3962 -> 0.9+4052Pavol Rusnak1-4/+5
2021-02-25yosys: 0.9+3905 -> 0.9+3962Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-02-13yosys: 0.9+3830 -> 0.9+3905Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-02-13yosys: mark broken on darwinSandro Jäckel1-0/+7
2021-02-10ghdl-yosys: 2021.01.01 -> 2021.01.25Eduardo Sánchez Muñoz1-3/+3
2021-01-17yosys-bluespec: 2021.01.14 -> 2021.01.17Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-01-15yosys: some more small fixes from review feedbackAustin Seipp1-6/+9
Fixes up the usage of patches/postInstall. Also removes `stdenv.lib` and other minor tweaks. Based on feedback from Sandro and Mihai. Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-01-15yosys: cherry-pick some minor fixes (cf d81e4d9f6)Austin Seipp1-11/+6
d81e4d9f66e0d1a1a6d contained some minor fixes to the yosys derivation that make it a little easier to read and maintain. Incorporate those. Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-01-15init: experimental yosys-{bluespec, ghdl} pluginsAustin Seipp2-0/+63
These plugins can be included in a closure, along with the `yosys` derivation, and they will be automatically picked up for use. For example, this allows you to include 'yosys-bluespec' in your `buildInputs`, and then immediately run: $ nix-shell -p yosys yosys-bluespec yosys-ghdl $ yosys -m bluespec -p 'help read_bluespec' $ yosys -m ghdl -p 'help ghdl' These two plugins are a bit experimental, admittedly, but they are good, clean examples of how to write and use the yosys plugin infrastructure, and make it easy to test updates, etc. Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-01-15yosys: enable loading "out of band" pluginsAustin Seipp3-0/+42
By default, when yosys looks for plugins with the `-m` flag or `plugin` command, it always looks in `YOSYS_PREFIX/share/yosys/plugins` for a `.so` file, and loads that. By design, this is intended to be a single, global, mutable location such as `/usr/share/yosys/...` on disk, and plugins are supposed to install their `.so` files here after yosys is installed, and they all coexist together. Obviously, this won't work for us, but users might expect these plugins to still work. More importantly, they won't want to add special cases to their build systems. Instead, to allow Nix users to use yosys plugins with the same UX (e.g. natively call `plugin bluespec` or `-m ghdl`), we add a patch to yosys that allows it to search a new `NIX_YOSYS_PLUGIN_DIRS` search path environment variable. In tandem, we add a setup hook that adds to this search path if a package has a `$out/share/yosys/plugins` directory. Thus, it's enough to just include `yosys`, and any package that has a yosys plugin in `$out/share/yosys/plugins`, and you can load it with `-m` or the `plugin` command. We could use a style like the haskellPackages set, where the set of packages are "encased" in a lambda, and we pass packages that are compatible with that version of the compiler: haskell.packages.ghc8102.ghcWithPackages (p: with p; [ ... ]) but, realistically, there will probably only ever be one version of yosys and one set of compatible plugins, so this seems overdone. Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-01-15Revert "Merge pull request #105709 from CajuM/symbiflow"Austin Seipp1-18/+13
This reverts commit 02828f91f15668ce6a757b6ef1d2d8430a403194, reversing changes made to f0a7fce6ee72e574c2406bf1cfd1741c8d2cd07e.
2021-01-10yosys: Added plugins support and various fixesMihai-Drosi Câju1-13/+18
* fixed buildInputs and nativeBuildInputs for cross compilation * don't use pkgconfig alias * simplified patchPhase * made the version variable overridable in preBuild
2021-01-03yosys: 0.9+3715 -> 0.9+3803Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-12-02yosys: 0.9+3675 -> 0.9+3715Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-11-12yosys: 2020.10.20 -> 0.9+3675 (new version scheme)Austin Seipp1-8/+31
Yosys now has their own official scheme for tagging unstable builds; an automation robot appears from the darkness once every few hours, bumps the version number, and disappears as silently as they came. While Nix packages use a date-based version format for unstable packages, many (most!) users of Yosys use an unstable version. And so synchronizing the version of the Nix package with the repository version is significantly less confusing to users. This also adds a safeguard to ensure others don't forget to bump the version number correctly in the future. The testsuite also saw an overhaul (now parallel to help build time), so some tweaks were needed to get it working again. Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-10-20yosys: 2020.08.22 -> 2020.10.20Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-08-23yosys: 2020.07.07 -> 2020.08.22Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-07-09yosys: 2020.06.19 -> 2020.07.07Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-06-20yosys: 2020.06.16 -> 2020.06.19Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-06-16yosys: 2020.06.11 -> 2020.06.16Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-06-11yosys: 2020.03.24 -> 2020.06.11Austin Seipp1-3/+6
Also includes a bump to `abc-verifier`. Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-03-25yosys: 2020.03.16 -> 2020.03.24Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-03-17yosys: 2020.02.25 -> 2020.03.16Austin Seipp1-20/+21
- Bump `abc-verifier` (2020.03.05) - Install `yosys-abc` symlink for tool compatbility - Various `yosys` expression cleanups Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-02-26yosys: 2020.02.07 -> 2020.02.25Austin Seipp1-3/+3
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-02-08yosys: 2020.02.01 -> 2020.02.07Emily1-5/+4