about summary refs log tree commit diff
path: root/pkgs/development/compilers/yosys
AgeCommit message (Collapse)AuthorFilesLines
2024-09-11yosys: 0.44 -> 0.45Luflosi1-3/+3
https://github.com/YosysHQ/yosys/releases/tag/0.45
2024-08-11Merge pull request #332956 from hzeller/feature-20240807-update-synligFlorian1-13/+2
synlig: 2023-11-28 -> 2024-08-07
2024-08-07yosys: 0.43 -> 0.44R. Ryantm1-2/+2
2024-08-07synlig: 2023-11-28 -> 2024-08-07Henner Zeller1-13/+2
2024-07-23treewide: fix uses of `finalAttrs.pname` in urlséclairevoyant1-1/+1
2024-07-15verilog: rename to actual name iverilogHenner Zeller1-2/+2
The iverilog project is commonly known as ... iverilog, not verilog. The package name `verilog` so far has been confusing, rename to `iverilog`. While doing so, move the package to the new by-name/ convention directory. Fix all the fall-out of packages that referred to the old name.
2024-07-10yosys: 0.42 -> 0.43Luflosi2-4/+10
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.43 Also: - Update patch to fix error on macOS - Remove an unused argument - Remove a call to `chmod` since the file now has the executable bit already
2024-06-24yosys: 0.38 -> 0.42Thomas Watson2-37/+17
ABC is now included as a submodule, and we choose to build it like that instead of having to maintain nixpkgs's version, as each version of Yosys is only compatible with one particular version of ABC. The built ABC is available in `$out/bin/yosys-abc` as before. A little munging is required to present the git hashes as desired. Additionally, some upstreamed patches are dropped.
2024-06-16Merge pull request #320119 from emilazy/remove-myself-from-unused-packagesh7x41-1/+1
treewide: remove myself from packages I don’t use
2024-06-15treewide: remove myself from packages I don’t useEmily1-1/+1
I’ve had an extended absence from Nix work and no longer actively use a bunch of packages I used to maintain, so remove myself as a maintainer from things I can’t usefully review/test changes for as I ease back into things. This does unfortunately leave a few packages orphaned (`stm32loader`, `tinyprog`, `python3Packages.fx2`, and `python3Packages.jsonmerge`).
2024-06-09synlig: broken after yosys 0.38Henner Zeller1-0/+1
Synlig is currently not compaetible with newer versions of Yosys, so marking it broken to allow #297531 to proceed.
2024-02-10yosys: 0.37 -> 0.38Thomas Watson1-3/+14
Also fix test issues on macOS.
2024-01-27yosys-synlig: fix compilation with Yosys 0.37Henner Zeller1-0/+10
Discussed in https://github.com/NixOS/nixpkgs/pull/281384 This is filed as upstream issue https://github.com/chipsalliance/synlig/issues/2299 ... and addressed in this pull request https://github.com/chipsalliance/synlig/pull/2300 Patched into this nix package. Co-authored-by: Luflosi <luflosi@luflosi.de>
2024-01-20yosys: 0.36 -> 0.37Luflosi2-6/+13
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.37 The change to the patch is needed to fix this error on macOS: ``` + clang -std=c++11 -O2 -o cxxrtl-test-value -I../../backends/cxxrtl/runtime test_value.cc -lstdc++ test_value.cc:1:10: fatal error: 'cassert' file not found ^~~~~~~~~ 1 error generated. make: *** [Makefile:891: test] Error 1 ```
2023-12-10yosys: propagate build inputs as need be for pluginsMartin Povišer1-1/+1
2023-12-06yosys: 0.35 -> 0.36Luflosi2-6/+6
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.36
2023-12-02yosys: make building with Python binding the defaultMartin Povišer1-1/+1
2023-12-02yosys: add option to build with Python bindingMartin Povišer1-1/+11
2023-11-29yosys-synlig: 2023-10-26 -> 2023-11-28Henner Zeller1-2/+2
2023-11-08yosys: 0.34 -> 0.35Luflosi1-2/+2
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.35
2023-11-08yosys: use finalAttrsLuflosi1-5/+5
2023-11-08yosys: add Luflosi as maintainerLuflosi1-1/+1
2023-10-27synlig: 2023.10.12 -> 2023-10-26Henner Zeller2-78/+30
2023-10-16yosys-synlig: init at 2023.10.12Henner Zeller2-0/+139
2023-10-15yosys-symbiflow: 1.20230808 -> 1.20230906Henner Zeller1-11/+2
2023-10-07yosys: 0.33 -> 0.34Luflosi1-3/+3
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.34
2023-09-12yosys: 0.32 -> 0.33Luflosi2-5/+27
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.33 A patch needed to be adapted to fix this error on macOS: ``` + clang -std=c++11 -o yosys-always_full -I../.. always_full_tb.cc -lstdc++ In file included from always_full_tb.cc:1: In file included from ./yosys-always_full.cc:1: ../../backends/cxxrtl/cxxrtl.h:29:10: fatal error: 'cstddef' file not found #include <cstddef> ^~~~~~~~~ 1 error generated. make: *** [Makefile:885: test] Error 1 ```
2023-09-04yosys-symbiflow: 1.20230425 -> 1.20230808Henner Zeller1-3/+2
2023-08-07yosys: 0.31 -> 0.32Luflosi1-2/+2
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.32
2023-07-18yosys: 0.30 -> 0.31Luflosi1-2/+2
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.31
2023-06-08yosys: 0.29 -> 0.30Psentee2-31/+42
2023-05-10yosys: 0.28 -> 0.29Luflosi1-2/+2
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.29
2023-05-06yosys: 0.27 -> 0.28 https://github.com/YosysHQ/yosys/releases/tag/yosys-0.28Jack Leightcap1-2/+2
Signed-off-by: Jack Leightcap <jack@leightcap.com>
2023-04-26yosys-symbiflow: 2023.02.08 -> 1.20230425Henner Zeller2-36/+26
The plugin now has a tagged version that is based on the date. Previously, we had to use a random commit and manually choose the relevant date. Now, we use the official tag as a version here and to fetch from git. While at it: fix the tests - somewhere over the course of the lasts year, the tests stopped being run. Fixed now.
2023-04-16yosys/plugins/ghdl.nix: fix buildAdam Joseph1-0/+1
Since 12cc34ce2dd2f all yosys plugins are expected to have a `plugin` attribute; without it they will not build. This commit adds one for yosys-ghdl.
2023-03-12yosys: 0.26 -> 0.27Luflosi1-2/+2
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.27
2023-02-22yosys: 0.25 -> 0.26Luflosi1-2/+2
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.26
2023-02-09yosys-symbiflow: 2022.11.07 -> 2023.02.08Austin Seipp1-7/+7
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2023-01-21treewide: switch to nativeCheckInputsGuillaume Girol2-2/+2
checkInputs used to be added to nativeBuildInputs. Now we have nativeCheckInputs to do that instead. Doing this treewide change allows to keep hashes identical to before the introduction of nativeCheckInputs.
2023-01-06yosys: 0.24 -> 0.25Luflosi1-2/+2
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.25
2022-12-06yosys: 0.23 -> 0.24Luflosi1-2/+2
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.24
2022-11-16yosys: 0.22 -> 0.23Luflosi1-8/+3
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.23 The protobuf backend was removed in https://github.com/YosysHQ/yosys/pull/3508.
2022-11-08yosys-symbiflow: 2022.09.27 -> 2022.11.07Henner Zeller1-5/+7
Update plugins to be compatible with latest surelog/uhdm (1.45). Also fix expected googletest path so that it comes from the nix environment, not third_party/. Signed-off-by: Henner Zeller <h.zeller@acm.org>
2022-11-04Merge pull request #173225 from matthuszagh/uhdmValentin Gagarin1-14/+10
Add systemverilog plugin to yosys
2022-10-14yosys: 0.20 -> 0.22Luflosi1-3/+12
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.22
2022-10-08yosys-ghdl: 2021.01.25 -> 2022.01.11Doron Behar1-4/+4
2022-10-08yosys-ghdl: Formatting - new lines between inputs and argumentsDoron Behar1-4/+18
2022-09-27symbiflow: update to 2022.09.27Matt Huszagh1-5/+4
2022-08-16treewide: makeWrapper buildInputs to nativeBuildInputsArtturin1-1/+1
most found with https://github.com/siraben/nix-lint
2022-08-12yosys: 0.18 -> 0.20Austin Seipp1-2/+2
Includes necessary `abc` update as well. Signed-off-by: Austin Seipp <aseipp@pobox.com>